Commit Graph

  • 00b124e (HEAD -> master) Add issue code for ghdl/ghdl#2336 by tmeissner 2023-01-30 19:29:31 +0100
  • 954adbe Update of issue code for ghdl/ghdl#2178 by tmeissner 2022-08-15 15:58:10 +0200
  • 1b04fcf Add issue code for ghdl/ghdl#2178 by tmeissner 2022-08-14 22:52:52 +0200
  • 16ce77c Update issues tests as ghdl/ghdl#2153 and ghdl/ghdl#2157 were fixed by tmeissner 2022-08-04 15:40:39 +0200
  • cef17d0 Update PSL next_event_a example as ghdl issue ghdl/ghdl#2157 was fixed by tmeissner 2022-08-04 15:39:22 +0200
  • 790c355 Update PSL before example as ghdl issue ghdl/ghdl#2153 was fixed by tmeissner 2022-08-04 15:38:49 +0200
  • 74c9794 Add issue code for ghdl/ghdl#2157 by tmeissner 2022-08-01 16:43:01 +0200
  • 8e4ae40 Add issue code for ghdl/ghdl#2153 by tmeissner 2022-07-29 16:24:22 +0200
  • ed269c0 Add PSL vunit inherit example as ghdl/ghdl#1899 was fixed by tmeissner 2021-11-08 16:50:26 +0100
  • 29defd4 Add issue code for ghdl/ghdl#1899 by tmeissner 2021-10-23 00:51:13 +0200
  • 3deb967 Add PSL property & sequences to formal tests list by tmeissner 2021-10-15 16:23:02 +0200
  • bb50d34 Update PSL sequence, property & vunit examples as #1889 was fixed by tmeissner 2021-10-15 16:13:11 +0200
  • e96ef24 Add two first eda blog posts as reference by tmeissner 2021-10-12 14:48:05 +0200
  • 9f21db0 Update issues tests as as ghdl/ghdl#1850 was fixed by tmeissner 2021-10-12 14:41:38 +0200
  • f8d2ac2 Update PSL abort example as ghdl/ghdl#1654 was fixed by tmeissner 2021-09-06 17:36:11 +0200
  • 5d5ef03 Add issue code for ghdl/ghdl#1850 by tmeissner 2021-08-29 22:53:25 +0200
  • 5465e5e Add example using generate indexes in PSL properties to vunit example, see ghdl/ghdl#1850 by tmeissner 2021-08-28 15:18:43 +0200
  • 3934b76 Add issue code for ghdl/ghdl#1832 by tmeissner 2021-08-05 21:54:23 +0200
  • e3a6fd3 Add issue code for ghdl/ghdl#1658 by tmeissner 2021-02-20 13:54:14 +0100
  • b100e26 Add issue code for ghdl/ghdl#1654 by tmeissner 2021-02-18 12:21:15 +0100
  • d673332 Add example for PSL abort operator by tmeissner 2021-02-16 21:57:02 +0100
  • cb8a45d Remove sublime text project files by tmeissner 2021-02-15 18:04:11 +0100
  • 4180e5a Add onehot & onehot0 examples to formal tests by tmeissner 2021-02-11 17:15:25 +0100
  • 8b4086d Add examples for onehot() & onehot0() PSL functions by tmeissner 2021-02-10 14:39:31 +0100
  • 333c6f8 Add example for PSL endpoints (currently simulation only) by tmeissner 2021-01-19 22:40:32 +0100
  • ee9cda7 Add examples for formal attributes anyconst & anyseq by tmeissner 2021-01-18 14:08:56 +0100
  • d03b07f Update infos about recommended docker images by tmeissner 2021-01-14 13:30:51 +0100
  • 43e3b45 Include issue_1591 in tests as ghdl/ghdl#1591 was fixed by tmeissner 2021-01-14 13:22:23 +0100
  • 1acd5e3 Add issue code for ghdl/ghdl#1591 by tmeissner 2021-01-11 12:01:16 +0100
  • 61d719d Add for, forall & macros to unsupported list by tmeissner 2020-09-17 13:46:53 +0200
  • 29ff43d Add example for named properties by tmeissner 2020-06-27 13:08:11 +0200
  • ddeb7e1 Add example for named sequences by tmeissner 2020-06-25 23:37:21 +0200
  • ed005f6 Add issue code for PSL endpoints used in inline mode (ghdl/ghdl#1378) by tmeissner 2020-06-21 23:02:30 +0200
  • 5e23ba9 Add example for PSL verification units (vunit) by tmeissner 2020-06-16 20:00:02 +0200
  • ab97e79 Add example for log iff (<->) operator, was fixed in ghdl/ghdl#1371 by tmeissner 2020-06-16 16:13:53 +0200
  • 53b5fcd generate constructs in PSL vunits fixed (ghdl/ghdl#1372) by tmeissner 2020-06-16 11:34:25 +0200
  • 74b3bda Remove unused code from issue_1372 by tmeissner 2020-06-16 04:23:39 +0200
  • a3f073a Add sublime test project file to gitignore file by tmeissner 2020-06-15 14:41:37 +0200
  • 9462cbd Add issue code for if-generate in PSL vunits (ghdl/ghdl#1372) by tmeissner 2020-06-15 14:41:08 +0200
  • 09fab71 Update prev() & stable() examples after ghdl/ghdl#1366 & ghdl/ghdl#1367 were fixed by tmeissner 2020-06-13 13:41:19 +0200
  • b3a8140 Add sublime text project file by tmeissner 2020-06-13 13:38:27 +0200
  • 56a7521 Add issue code for VHDL code in PSL vunits (ghdl/ghdl#1367) by tmeissner 2020-06-11 14:28:14 +0200
  • 4855f86 Add issue code for VHDL code in PSL vunits (ghdl/ghdl#1366) by tmeissner 2020-06-10 21:06:12 +0200
  • 2cec27d Add fell() example to formal tests after it was implemented by ghdl/ghdl#1357 by tmeissner 2020-06-08 11:19:35 +0200
  • 6ccf309 Add rose() example to formal tests after it was implemented by ghdl/ghdl#1356 by tmeissner 2020-06-07 00:55:43 +0200
  • cbd80e4 Add stable() example to formal tests after it was implemented by ghdl/ghdl#1353 by tmeissner 2020-06-06 12:09:12 +0200
  • b018d2e Add examples for currently unsupported rose(), fell() & stable() PSL functions by tmeissner 2020-06-03 00:02:30 +0200
  • 521faf5 Add example for SERE concatenation (;) operator by tmeissner 2020-06-02 22:29:03 +0200
  • 0d5101e Add example for SERE fusion (:) operator by tmeissner 2020-06-02 22:16:22 +0200
  • 4a2605e Add example for SERE non-length-matching and (&) operator by tmeissner 2020-06-02 21:05:00 +0200
  • d904d45 Add some examples using prev() with vectors by tmeissner 2020-06-02 18:15:00 +0200
  • 7a85458 Add examples using prev() with 2nd parameter) by tmeissner 2020-06-02 16:36:12 +0200
  • 12cb822 Add example for prev() function by tmeissner 2020-06-02 15:10:47 +0200
  • 1f300d6 Add code for issue ghdl/ghdl#1347 by tmeissner 2020-05-30 13:26:53 +0200
  • 0aa92b4 Include eventually example in formal tests after ghdl/ghdl#1345 was fixed by tmeissner 2020-05-29 19:46:56 +0200
  • be1410b stop_sim(): Use add_cycles parameter instead of hard coded value by tmeissner 2020-05-29 19:46:16 +0200
  • 413737f Update badges for GHA workflows by tmeissner 2020-05-29 11:52:26 +0200
  • 814b3fc Add GHA workflow for simulation tests by tmeissner 2020-05-29 11:46:46 +0200
  • a5afca8 Exclude crashing eventually example from formal tests by tmeissner 2020-05-29 11:45:39 +0200
  • 1b7df83 Add sim/work to gitignore file by tmeissner 2020-05-28 23:51:15 +0200
  • 0d4d165 Stop simulation after a given number of cycles instead of time by tmeissner 2020-05-28 23:47:06 +0200
  • 4308f7d Add simulation of the PSL examples, fixes #1 by tmeissner 2020-05-28 20:02:31 +0200
  • 4815ad4 Add issue code for eventually! operator (ghdl/ghdl#1345) by tmeissner 2020-05-28 10:04:20 +0200
  • 9b34289 Add example for SERE length mathcing and (&&) operator by tmeissner 2020-05-24 10:59:45 +0200
  • cee1bcf Add another assertion to the SERE or example by tmeissner 2020-05-22 17:22:07 +0200
  • eaf03a8 Add example for SERE or (|) operator by tmeissner 2020-05-22 12:16:06 +0200
  • 356f6a1 Add example for SERE within operator by tmeissner 2020-05-20 14:39:55 +0200
  • 4dd0f1b Fixed assertion - combining SEREs isn't trivial ;) by tmeissner 2020-05-20 14:17:06 +0200
  • f6088fd Add some more links to ressources by tmeissner 2020-05-19 00:18:22 +0200
  • 42e8e49 Add example for cover directive by tmeissner 2020-05-18 22:31:42 +0200
  • f9233c6 Add links to further ressources by tmeissner 2020-05-18 17:34:44 +0200
  • d2db771 Fix SERE, replacing within with && operator by tmeissner 2020-05-18 13:53:23 +0200
  • 3e0daf5 Add SERE goto [->n] operator, was fixed by ghdl/ghdl#1322 by tmeissner 2020-05-18 13:09:26 +0200
  • 0a044db Fixed entity & file names of [=] examples by tmeissner 2020-05-18 12:42:25 +0200
  • 9777351 Add SERE repeat [=n] operator, was fixed by ghdl/ghdl#1321 by tmeissner 2020-05-18 11:28:58 +0200
  • f2b3d9d Add issue code for goto ([->]) operator (ghdl/ghdl#1322) by tmeissner 2020-05-17 22:11:48 +0200
  • d9c8f52 Add issue code for [=n] operator (ghdl/ghdl#1321) by tmeissner 2020-05-17 21:45:14 +0200
  • 0595fd8 Add more repetition asserts; add comments by tmeissner 2020-05-17 12:18:10 +0200
  • 9dc78f3 Simplify sequencer by removing intermediate character signal by tmeissner 2020-05-16 12:45:38 +0200
  • cd6c644 Update next_event_a example to fixed ghdl/ghdl#1314 by tmeissner 2020-05-16 12:31:15 +0200
  • 8c273a6 Issues can be built using make now by tmeissner 2020-05-16 03:12:34 +0200
  • b57e7d8 Addd code for (fixed) issues ghdl/ghdl#1288 & ghdl/ghdl#1292 by tmeissner 2020-05-16 02:13:29 +0200
  • c26a90c Add code for issue ghdl/ghdl#1314 by tmeissner 2020-05-16 00:04:31 +0200
  • d68ea88 Add more asserts using variants of [*] by tmeissner 2020-05-15 15:10:57 +0200
  • e2ee755 Add example for consecutive repetition operator and variants by tmeissner 2020-05-15 13:26:26 +0200
  • 78013a2 Change sby task name from prove to bmc (we do bmc, not unbounded prove) by tmeissner 2020-05-14 20:44:52 +0200
  • d346840 Add example for |=> (non overlapping suffix implication) operator by tmeissner 2020-05-12 14:58:59 +0200
  • 3b669b0 Add example for |-> (overlapping suffix implication) operator by tmeissner 2020-05-11 11:14:48 +0200
  • 1a7a3e9 Add example for simple SERE by tmeissner 2020-05-11 01:23:15 +0200
  • 1a37ad7 Add example for locical implication by tmeissner 2020-05-10 12:07:27 +0200
  • 54b2791 Add strong operator versions to unsupported list by tmeissner 2020-05-09 22:05:01 +0200
  • 9437d2d Add more failing assertions (should hold, assuming GHDL bug) to next_event_a example by tmeissner 2020-05-09 22:01:38 +0200
  • 2fdf7d9 PSL next_event_e operator now supported by GHDL :) by tmeissner 2020-05-09 13:56:37 +0200
  • 1f01afb Add examples for not yet working next_event_a & next_event_e operators by tmeissner 2020-05-09 00:09:16 +0200
  • e744ab3 Add some infos about getting the tools used by the tests by tmeissner 2020-05-08 18:35:28 +0200
  • d766f79 Add some more waveforms & asserts to PSL next_a example by tmeissner 2020-05-08 18:14:40 +0200
  • 44f6dc1 PSL next_e operator now supported by GHDL :) by tmeissner 2020-05-08 18:13:59 +0200
  • b9bc727 Add example for next_e operator by tmeissner 2020-05-08 02:06:02 +0200
  • 2e64182 Add example for next_a operator by tmeissner 2020-05-07 23:16:40 +0200
  • fb99395 Minor fixes, beauty care by tmeissner 2020-05-04 22:00:30 +0200